Jump to content
 







Main menu
   


Navigation  



Main page
Contents
Current events
Random article
About Wikipedia
Contact us
Donate
 




Contribute  



Help
Learn to edit
Community portal
Recent changes
Upload file
 








Search  

































Create account

Log in
 









Create account
 Log in
 




Pages for logged out editors learn more  



Contributions
Talk
 



















Contents

   



(Top)
 


1 History  





2 Types of IP cores  



2.1  Soft cores  





2.2  Hard cores  







3 Sources of IP cores  



3.1  Licensed functionality  





3.2  Vendors  



3.2.1  IP hardening  







3.3  Free and open-source  







4 See also  





5 References  





6 External links  














Semiconductor intellectual property core






العربية
Català
Deutsch
Español


Polski
Русский

Українська

 

Edit links
 









Article
Talk
 

















Read
Edit
View history
 








Tools
   


Actions  



Read
Edit
View history
 




General  



What links here
Related changes
Upload file
Special pages
Permanent link
Page information
Cite this page
Get shortened URL
Download QR code
Wikidata item
 




Print/export  



Download as PDF
Printable version
 
















Appearance
   

 






From Wikipedia, the free encyclopedia
 

(Redirected from IP core)

Inelectronic design, a semiconductor intellectual property core (SIP core), IP coreorIP block is a reusable unit of logic, cell, or integrated circuit layout design that is the intellectual property of one party. IP cores can be licensed to another party or owned and used by a single party. The term comes from the licensing of the patent or source code copyright that exists in the design. Designers of system on chip (SoC), application-specific integrated circuits (ASIC) and systems of field-programmable gate array (FPGA) logic can use IP cores as building blocks.

History[edit]

The licensing and use of IP cores in chip design came into common practice in the 1990s.[1] There were many licensors and also many foundries competing on the market. In 2013, the most widely licensed IP cores were from Arm Holdings (43.2% market share), Synopsys Inc. (13.9% market share), Imagination Technologies (9% market share) and Cadence Design Systems (5.1% market share).[2]

Types of IP cores[edit]

The use of an IP core in chip design is comparable to the use of a library for computer programming or a discrete integrated circuit component for printed circuit board design. Each is a reusable component of design logic with a defined interface and behavior that has been verified by its creator and is integrated into a larger design.

Soft cores[edit]

IP cores are commonly offered as synthesizable RTL in a hardware description language such as VerilogorVHDL. These are analogous to low-level languages such as C in the field of computer programming. IP cores delivered to chip designers as RTL permit chip designers to modify designs at the functional level, though many IP vendors offer no warranty or support for modified designs.[citation needed]

IP cores are also sometimes offered as generic gate-level netlists. The netlist is a boolean-algebra representation of the IP's logical function implemented as generic gatesorprocess-specific standard cells. An IP core implemented as generic gates can be compiled for any process technology. A gate-level netlist is analogous to an assembly code listing in the field of computer programming. A netlist gives the IP core vendor reasonable protection against reverse engineering. See also: integrated circuit layout design protection.

Both netlist and synthesizable cores are called soft cores since both allow a synthesis, placement and routing (SPR) design flow.

Hard cores[edit]

Hard cores (or hard macros) are analog or digital IP cores whose function cannot be significantly modified by chip designers. These are generally defined as a lower-level physical description that is specific to a particular process technology. Hard cores usually offer better predictability of chip timing performance and area for their particular technology.[citation needed]

Analog and mixed-signal logic are generally distributed as hard cores. Hence, analog IP (SerDes, PLLs, DAC, ADC, PHYs, etc.) are provided to chip makers in transistor-layout format (such as GDSII). Digital IP cores are sometimes offered in layout format as well.

Low-level transistor layouts must obey the target foundry's process design rules. Therefore, hard cores delivered for one foundry's process cannot be easily ported to a different process or foundry. Merchant foundry operators (such as IBM, Fujitsu, Samsung, TI, etc.) offer various hard-macro IP functions built for their own foundry processes, helping to ensure customer lock-in.

Sources of IP cores[edit]

Licensed functionality[edit]

Many of the best known IP cores are soft microprocessor designs. Their instruction sets vary from small 8-bit processors, such as the 8051 and PIC, to 32-bit and 64-bit processors such as the ARM architecturesorRISC-V architectures. Such processors form the "brains" of many embedded systems. They are usually RISC instruction sets rather than CISC instruction sets like x86 because less logic is required. Therefore, designs are smaller. Further, x86 leaders Intel and AMD heavily protect their processor designs' intellectual property and don't use this business model for their x86-64 lines of microprocessors.

IP cores are also licensed for various peripheral controllers such as for PCI Express, SDRAM, Ethernet, LCD display, AC'97 audio, and USB. Many of those interfaces require both digital logic and analog IP cores to drive and receive high speed, high voltage, or high impedance signals outside of the chip.

"Hardwired" (as opposed to software programmable soft microprocessors described above) digital logic IP cores are also licensed for fixed functions such as MP3 audio decode, 3D GPU, digital video encode/decode, and other DSP functions such as FFT, DCT, or Viterbi coding.

Vendors[edit]

IP core developers and licensors range in size from individuals to multi-billion-dollar corporations. Developers, as well as their chip-making customers, are located throughout the world.

Silicon Intellectual Property (SIP, Silicon IP) is a business model for a semiconductor company where it licenses its technology to a customer as intellectual property. A company with such a business model is a fabless semiconductor company, which doesn't provide physical chips to its customers but merely facilitates the customer's development of chips by offering certain functional blocks. Typically, the customers are semiconductor companies or module developers with in-house semiconductor development. A company wishing to fabricate a complex device may license in the rights to use another company's well-tested functional blocks such as a microprocessor, instead of developing their own design, which would require additional time and cost.

The Silicon IP industry has had stable growth for many years. The most successful Silicon IP companies, often referred to as the Star IP, include ARM Holdings and Synopsys. Gartner Group estimated the total value of sales related to silicon intellectual property at US $1.5 billion in 2005 with annual growth expected around 30%.[3][needs update]

IP hardening[edit]

IP hardening is a process to re-use proven designs and generate fast time-to-market, low-risk-in-fabrication solutions to provide Intellectual property (IP) (or Silicon intellectual property) of design cores.

For example, a digital signal processor (DSP) is developed from soft cores of RTL format, and it can be targeted to various technologies or different foundries to yield different implementations. The process of IP hardening is from soft core to generate re-usable hard (hardware) cores[clarification needed]. A main advantage of such hard IP is its predictable characteristics as the IP has been pre-implemented, while it offers flexibility of soft cores. It might come with a set of models for simulations for verification.

The effort to harden soft IP requires employing the quality of the target technology, goals of design and the methodology. The hard IP has been proven in the target technology and application. E.g. the hard core in GDS II format is said to clean in DRC (Design rule checking), and LVS (see Layout Versus Schematic). I.e. that can pass all the rules required for manufacturing by the specific foundry.[4][5]

Free and open-source[edit]

Since around 2000, OpenCores.org has offered various soft cores, mostly written in VHDL and Verilog. All of these cores are provided under free and open-source software-license such as GNU General Public LicenseorBSD-like licenses.[6] Since 2010, initiatives such as RISC-V have caused a massive expansion in the number of IP cores available (almost 50 by 2019[7]). This has helped to increase collaboration in developing secure and efficient designs.[8]

See also[edit]

References[edit]

  1. ^ Tuomi, Ilkka (2009-12-04). "The Future of Semiconductor Intellectual Property Architectural Blocks in Europe". JRC Publications Repository. Retrieved 2023-08-02.
  • ^ Clark, Peter (April 23, 2014). "Cadence breaks into top four in semi IP core ranking". EE Times Europe. No. N/A. Peter Clark. European Business Press SA. Archived from the original on August 2, 2014. Retrieved July 14, 2014.
  • ^ Kiat Seng Yeo, Kim Tean Ng, Zhi Hui Kong Intellectual Property for Integrated Circuits , J. Ross Publishing, 2010 ISBN 1-932159-85-1
  • ^ http://www.eettaiwan.com/ART_8800406094_480102_AN_71148c3a.HTM Archived 2009-08-04 at the Wayback Machine IP hardening by eetTaiwan Dead link 2011 06 30
  • ^ [1] More about IP hardening. An organization (which is set up by government) provides services of IP hardening and IP integration. In Chinese.
  • ^ "Licensing :: OpenCores". opencores.org. Retrieved 2019-11-14.
  • ^ "RISC-V Cores and SoC Overview". RISC-V Foundation. Archived from the original on 24 April 2020. Retrieved 8 October 2019.
  • ^ Daunhauer, Denis. "The relevance of open source intellectual property cores for the IoT development". Internet of Things blog. Deloitte. Retrieved 8 October 2019.
  • External links[edit]


    Retrieved from "https://en.wikipedia.org/w/index.php?title=Semiconductor_intellectual_property_core&oldid=1201129074"

    Categories: 
    Semiconductor IP cores
    Electronic design automation
    Logic design
    Semiconductor device fabrication
    Hidden categories: 
    Webarchive template wayback links
    Articles with short description
    Short description is different from Wikidata
    Use American English from March 2019
    All Wikipedia articles written in American English
    Articles needing additional references from September 2016
    All articles needing additional references
    All articles with unsourced statements
    Articles with unsourced statements from October 2018
    Wikipedia articles in need of updating from October 2018
    All Wikipedia articles in need of updating
    Wikipedia articles needing clarification from July 2014
    Articles with GND identifiers
     



    This page was last edited on 31 January 2024, at 00:19 (UTC).

    Text is available under the Creative Commons Attribution-ShareAlike License 4.0; additional terms may apply. By using this site, you agree to the Terms of Use and Privacy Policy. Wikipedia® is a registered trademark of the Wikimedia Foundation, Inc., a non-profit organization.



    Privacy policy

    About Wikipedia

    Disclaimers

    Contact Wikipedia

    Code of Conduct

    Developers

    Statistics

    Cookie statement

    Mobile view



    Wikimedia Foundation
    Powered by MediaWiki