Jump to content
 







Main menu
   


Navigation  



Main page
Contents
Current events
Random article
About Wikipedia
Contact us
Donate
 




Contribute  



Help
Learn to edit
Community portal
Recent changes
Upload file
 








Search  

































Create account

Log in
 









Create account
 Log in
 




Pages for logged out editors learn more  



Contributions
Talk
 



















Contents

   



(Top)
 


1 Technology demos  





2 Processors using "32 nm" technology  





3 Successor node  



3.1  28 nm & 22 nm  







4 References  





5 Further reading  





6 External links  














32 nm process






 / Bân-lâm-gú
Català
Čeština
Español
Français

Italiano
Português



 

Edit links
 









Article
Talk
 

















Read
Edit
View history
 








Tools
   


Actions  



Read
Edit
View history
 




General  



What links here
Related changes
Upload file
Special pages
Permanent link
Page information
Cite this page
Get shortened URL
Download QR code
Wikidata item
 




Print/export  



Download as PDF
Printable version
 
















Appearance
   

 






From Wikipedia, the free encyclopedia
 

(Redirected from 32 nm)

The "32 nm" node is the step following the "45 nm" processinCMOS (MOSFET) semiconductor device fabrication. "32-nanometre" refers to the average half-pitch (i.e., half the distance between identical features) of a memory cell at this technology level.

Toshiba produced commercial 32 GiB NAND flash memory chips with the "32 nm" process in 2009.[1] Intel and AMD produced commercial microchips using the "32 nm" process in the early 2010s. IBM and the Common Platform also developed a "32 nm" high-κ metal gate process.[2] Intel began selling its first "32 nm" processors using the Westmere architecture on 7 January 2010.

Since at least 1997, "process nodes" have been named purely on a marketing basis, and have no relation to the dimensions on the integrated circuit;[3] neither gate length, nor metal pitch, nor gate pitch on a "32nm" device is thirty-two nanometers.[4][5][6][7]

The "28 nm" node is an intermediate half-node die shrink based on the "32 nm" process.

The "32 nm" process was superseded by commercial "22 nm" technology in 2012.[8][9]

Technology demos[edit]

Prototypes using "32 nm" technology first emerged in the mid-2000s. In 2004, IBM demonstrated a 0.143 μm2 SRAM cell with a poly gate pitch of 135 nm, produced using electron-beam lithography and photolithography on the same layer. It was observed that the cell's sensitivity to input voltage fluctuations degraded significantly at such a small scale.[10] In October 2006, the Interuniversity Microelectronics Centre (IMEC) demonstrated a 32 nm flash patterning capability based on double patterning and immersion lithography.[11] The necessity of introducing double patterning and hyper-NA tools to reduce memory cell area offset some of the cost advantages of moving to this node from the 45 nm node.[12] TSMC similarly used double patterning combined with immersion lithography to produce a "32 nm" node 0.183 μm2 six-transistor SRAM cell in 2005.[13]

Intel Corporation revealed its first "32 nm" test chips to the public on 18 September 2007 at the Intel Developer Forum. The test chips had a cell size of 0.182 μm2, used a second-generation high-κ gate dielectric and metal gate, and contained almost two billion transistors. 193 nm immersion lithography was used for the critical layers, while 193 nm or 248 nm dry lithography was used on less critical layers. The critical pitch was 112.5 nm.[14]

In January 2011, Samsung completed development of the industry's first DDR4 SDRAM module using a process technology with a size between 30 nm and 39 nm. The module could reportedly achieve data transfer rates of 2.133 Gbit/s at 1.2V, compared to 1.35V and 1.5V DDR3 DRAM at an equivalent "30 nm-class" process technology with speeds of up to 1.6 Gbit/s. The module used pseudo open drain (POD) technology, specially adapted to allow DDR4 SDRAM to consume just half the current of DDR3 when reading and writing data.[15]

Processors using "32 nm" technology[edit]

Intel's Core i3 and i5 processors, released in January 2010, were among the first mass-produced processors to use "32 nm" technology.[16] Intel's second-generation Core processors, codenamed Sandy Bridge, also used the "32 nm" manufacturing process. Intel's 6-core processor, codenamed Gulftown and built on the Westmere architecture, was released on 16 March 2010 as the Core i7 980x Extreme Edition, retailing for approximately US$1,000.[17] Intel's lower-end 6-core, the i7-970, was released in late July 2010, priced at approximately US$900. Intel's "32nm" process has a transistor density of 7.11 million transistors per square milimeter (MTr/mm2).[18]

AMD also released "32 nm" SOI processors in the early 2010s. AMD's FX Series processors, codenamed Zambezi and based on AMD's Bulldozer architecture, were released in October 2011. The technology utilised a "32 nm" SOI process, two CPU cores per module, and up to four modules, ranging from a quad-core design costing approximately US$130 to a $280 eight-core design.

In September 2011, Ambarella Inc. announced the availability of the "32 nm"-based A7L system-on-a-chip circuit for digital still cameras, providing 1080p60 high-definition video capabilities.[19]

Successor node[edit]

28 nm & 22 nm[edit]

The successor to "32 nm" technology was the "22 nm" node, per the International Technology Roadmap for Semiconductors. Intel began mass production of "22 nm" semiconductors in late 2011,[20] and announced the release of its first commercial "22 nm" devices in April 2012.[8][21] TSMC bypassed "32 nm", jumping from "40 nm" in 2008 to "28 nm" in 2011.[22]

References[edit]

  1. ^ "Toshiba Makes Major Advances in NAND Flash Memory with 3-bit-per-cell 32nm generation and with 4-bit-per-cell 43nm technology". Toshiba. 11 February 2009. Retrieved 21 June 2019.
  • ^ Intel (Architecture & Silicon). Gate Dielectric Scaling for CMOS: from SiO2/PolySi to High-K/Metal-Gate. White Paper. Intel.com. Retrieved 18 June 2013.
  • ^ "No More Nanometers – EEJournal". 23 July 2020.
  • ^ Shukla, Priyank. "A Brief History of Process Node Evolution". design-reuse.com. Retrieved 9 July 2019.
  • ^ Hruska, Joel. "14nm, 7nm, 5nm: How low can CMOS go? It depends if you ask the engineers or the economists..." ExtremeTech.
  • ^ "Exclusive: Is Intel Really Starting To Lose Its Process Lead? 7nm Node Slated For Release in 2022". wccftech.com. 10 September 2016.
  • ^ "Life at 10nm. (Or is it 7nm?) And 3nm - Views on Advanced Silicon Platforms". eejournal.com. 12 March 2018.
  • ^ a b "Report: Intel Scheduling 22 nm Ivy Bridge for April 2012". Tom'sHardware.com. 26 November 2011. Retrieved 5 December 2011.
  • ^ "Intel's Ivy Bridge chips launch using '3D transistors'". BBC. 23 April 2012. Retrieved 18 June 2013.
  • ^ D. M. Fried et al., IEDM 2004.
  • ^ "IMEC demonstrates feasibility of double patterning immersion litho for 32nm node". PhysOrg.com. 18 October 2006. Retrieved 17 December 2011.
  • ^ Mark LaPedus (23 February 2007). "IBM sees immersion at 22nm, pushes out EUV". EE Times. Retrieved 11 November 2011.
  • ^ H-Y. Chen et al., Symp. on VLSI Tech. 2005.
  • ^ F. T. Chen (2002). Proc. SPIE. Vol. 4889, no. 1313.
  • ^ Peter Clarke (4 January 2011). "Samsung trials DDR4 DRAM module". EE Times. Retrieved 11 November 2011.
  • ^ "Intel Debuts 32-NM Westmere Desktop Processors" Archived 2010-03-17 at the Wayback Machine. InformationWeek. 7 January 2010. Retrieved 17 December 2011.
  • ^ Sal Cangeloso (4 February 2010). "Intel's 6-core 32nm processors arriving soon". Geek.com. Archived from the original on 30 March 2012. Retrieved 11 November 2011.
  • ^ "Intel's 10nm Cannon Lake and Core i3-8121U Deep Dive Review".
  • ^ "Ambarella A7L Enables the Next Generation of Digital Still Cameras with 1080p60 Fluid Motion Video". Ambarella.com. 26 September 2011. Archived from the original on 10 November 2011. Retrieved 11 November 2011.
  • ^ "Intel's CEO Discusses Q3 2011 Results - Earnings Call Transcript". Seeking Alpha. 18 October 2011. Retrieved 14 February 2013.
  • ^ "Intel beats analysts' first quarter forecasts". BBC. 17 April 2012. Retrieved 18 June 2013.
  • ^ "28nm Technology". TSMC. Retrieved 30 June 2019.
  • Further reading[edit]

    External links[edit]

    Preceded by
    45 nm
    MOSFET manufacturing processes (CMOS) Succeeded by
    22 nm

    Retrieved from "https://en.wikipedia.org/w/index.php?title=32_nm_process&oldid=1220785729"

    Category: 
    International Technology Roadmap for Semiconductors lithography nodes
    Hidden categories: 
    Webarchive template wayback links
    Use dmy dates from March 2022
    All articles with dead external links
    Articles with dead external links from March 2021
    Articles with permanently dead external links
     



    This page was last edited on 25 April 2024, at 22:04 (UTC).

    Text is available under the Creative Commons Attribution-ShareAlike License 4.0; additional terms may apply. By using this site, you agree to the Terms of Use and Privacy Policy. Wikipedia® is a registered trademark of the Wikimedia Foundation, Inc., a non-profit organization.



    Privacy policy

    About Wikipedia

    Disclaimers

    Contact Wikipedia

    Code of Conduct

    Developers

    Statistics

    Cookie statement

    Mobile view



    Wikimedia Foundation
    Powered by MediaWiki