Jump to content
 







Main menu
   


Navigation  



Main page
Contents
Current events
Random article
About Wikipedia
Contact us
Donate
 




Contribute  



Help
Learn to edit
Community portal
Recent changes
Upload file
 








Search  

































Create account

Log in
 









Create account
 Log in
 




Pages for logged out editors learn more  



Contributions
Talk
 



















Contents

   



(Top)
 


1 Wishbone topologies  



1.1  Shared bus  





1.2  Data flow  





1.3  Crossbar switch  







2 Comparisons  





3 See also  





4 References  





5 External links  














Wishbone (computer bus)






Français
Русский
 

Edit links
 









Article
Talk
 

















Read
Edit
View history
 








Tools
   


Actions  



Read
Edit
View history
 




General  



What links here
Related changes
Upload file
Special pages
Permanent link
Page information
Cite this page
Get shortened URL
Download QR code
Wikidata item
 




Print/export  



Download as PDF
Printable version
 




In other projects  



Wikimedia Commons
 
















Appearance
   

 






From Wikipedia, the free encyclopedia
 


Wishbone
Created bySilicore Corporation
Width in bits8, 16, 32, 64
StyleParallel
Hotplugging interfaceNo (On chip bus)
External interfaceNo
Master and Slave Wishbone's interfaces.

The Wishbone Bus is an open source hardware computer bus intended to let the parts of an integrated circuit communicate with each other. The aim is to allow the connection of differing cores to each other inside of a chip. The Wishbone Bus is used by many designs in the OpenCores project.

Wishbone is intended as a "logic bus". It does not specify electrical information or the bus topology. Instead, the specification is written in terms of "signals", clock cycles, and high and low levels.

This ambiguity is intentional. Wishbone is made to let designers combine several designs written in Verilog, VHDL or some other logic-description language for electronic design automation (EDA). Wishbone provides a standard way for designers to combine these hardware logic designs (called "cores"). Wishbone is defined to have 8, 16, 32, and 64-bit buses. All signals are synchronous to a single clock but some slave responses must be generated combinatorially for maximum performance. Wishbone permits addition of a "tag bus" to describe the data. But reset, simple addressed reads and writes, movement of blocks of data, and indivisible bus cycles all work without tags.

Wishbone is open source. To prevent preemption of its technologies by aggressive patenting, the Wishbone specification includes examples of prior art, to prove its concepts are in the public domain.

A device does not conform to the Wishbone specification unless it includes a data sheet that describes what it does, bus width, utilization, etc. Promoting reuse of a design requires the data sheet. Making a design reusable in turn makes it easier to share with others.

The Simple Bus Architecture is a simplified version of the Wishbone specification.[1]

Wishbone topologies

[edit]

Wishbone adapts well to common topologies such as point-to-point, many-to-many (i.e. the classic bus system), hierarchical, or even switched fabrics such as crossbar switches. In the more exotic topologies, Wishbone requires a bus controller or arbiter, but devices still maintain the same interface.

Shared bus

[edit]

Data flow

[edit]

Crossbar switch

[edit]

Comparisons

[edit]

Wishbone control signals compared to other system on a chip (SoC) bus standards:

Wishbone => Avalon
Wishbone Avalon Bus Description
cyc = !write_n or !read_n indicates that a valid bus cycle is in progress
stb = chipselect indicates a valid data transfer cycle
we = !write_n and read_n indicates whether the current local bus cycle is a READ or WRITE cycle. The signal is negated during READ cycles, and is asserted during WRITE cycles.
ack = !waitrequest indicates the termination of a normal bus cycle by slave device.
Avalon => Wishbone
Avalon Bus Wishbone Description
chipselect = stb indicates that slave device is selected.
write_n = !(cyc and we) indicated that master requests to write to slave device.
read_n = !(cyc and !we) indicated that master requests to read from slave device.
waitrequest = !ack indicates that slave requests that master wait.

See also

[edit]

References

[edit]
[edit]
Retrieved from "https://en.wikipedia.org/w/index.php?title=Wishbone_(computer_bus)&oldid=1081670132"

Categories: 
Computer buses
Open hardware electronic devices
Hidden categories: 
Articles needing additional references from September 2017
All articles needing additional references
 



This page was last edited on 8 April 2022, at 22:05 (UTC).

Text is available under the Creative Commons Attribution-ShareAlike License 4.0; additional terms may apply. By using this site, you agree to the Terms of Use and Privacy Policy. Wikipedia® is a registered trademark of the Wikimedia Foundation, Inc., a non-profit organization.



Privacy policy

About Wikipedia

Disclaimers

Contact Wikipedia

Code of Conduct

Developers

Statistics

Cookie statement

Mobile view



Wikimedia Foundation
Powered by MediaWiki