Jump to content
 







Main menu
   


Navigation  



Main page
Contents
Current events
Random article
About Wikipedia
Contact us
Donate
 




Contribute  



Help
Learn to edit
Community portal
Recent changes
Upload file
 








Search  

































Create account

Log in
 









Create account
 Log in
 




Pages for logged out editors learn more  



Contributions
Talk
 



















Contents

   



(Top)
 


1 History  





2 References  





3 External links  














Unified Power Format






Català

 

Edit links
 









Article
Talk
 

















Read
Edit
View history
 








Tools
   


Actions  



Read
Edit
View history
 




General  



What links here
Related changes
Upload file
Special pages
Permanent link
Page information
Cite this page
Get shortened URL
Download QR code
Wikidata item
 




Print/export  



Download as PDF
Printable version
 
















Appearance
   

 






From Wikipedia, the free encyclopedia
 


Unified Power Format (UPF) is the popular name of the Institute of Electrical and Electronics Engineers (IEEE) standard for specifying power intent in power optimizationofelectronic design automation. The IEEE 1801-2009 release of the standard was based on a donation from the Accellera organization. The current release is IEEE 1801-2018.

History[edit]

A Unified Power Format technical committee was formed by the Accellera organization, chaired by Stephen Bailey of Mentor Graphics. As a reaction to the Power Forward Initiative the group was proposed in July 2006 and met on September 13, 2006.[1] It submitted its first draft in January 2007, and a version 1.0 was approved to be published on February 26, 2007.[2] Joe Daniels was technical editor.[3]

Files written to this standard annotate an electric design with the power and power control intent of that design. Elements of that annotation include:

The standard describes extensions to the Tool Command Language (Tcl): commands and arguments for annotating a design hierarchy which has been read into a tool. Semantics for inferring additional elements in the design from the intent are provided in the standard. Digital designers, IP Block providers, Physical Designers, and Verification engineers make use of this standard language to communicate their design intent and implementation with respect to the variable power of an electronic system.

The Design Automation Standards Committee (DASC) of the IEEE Standards Association sponsored working group 1801, with the project authorization approved on May 7, 2007.[4][5] Goals included:

The IEEE group was initially called the "Low Power Study Group". Proposed standards have the letter "P" in front of them (such as P1801), which is removed and replaced with a dash and year when the standard is ratified. Accelera's UPF 1.0 was donated to the IEEE as a basis of this standard in June 2006.[6]

After reviewing 14 drafts, on March 27, 2009, the "Standard for Design and Verification of Low Power Integrated Circuits" was published as IEEE Std 1801-2009.[7] It is sometimes called UPF 2.0. Bailey was also chairman of the IEEE group.[8] Another notable supporter of the standard was Synopsys.[9] A follow-on project planned to develop a list of frequently asked questions (FAQ) about the specification.[5]

References[edit]

  1. ^ Richard Goering (September 18, 2006). "Accellera rolls power plan". EE Times. Retrieved July 7, 2011.
  • ^ "Documents from Group 'Unified Power Format Technical Committee'". Accellera public groups area. Retrieved July 7, 2011.
  • ^ "Unified Power Format (UPF) Standard Version 1.0" (PDF). Accellera. Retrieved August 7, 2011.
  • ^ Sherry Hampton (May 9, 2007). "P1801 - Standard for Design and Verification of Low Power Integrated Circuits" (PDF). IEEE Standards Association Standards Board. Retrieved July 7, 2011.
  • ^ a b "P1801 Low Power Study Group By Thread". Hypermail archive. Retrieved July 7, 2011.
  • ^ "IEEE P1801 - Unified Power Format Standard". Accelera Organization Inc. Retrieved July 7, 2011.
  • ^ UPF: Standard for Design and Verification of Low Power Integrated Circuits (March 27, 2009). 1801-2009 - IEEE Standard for Design and Verification of Low Power Integrated Circuits. IEEE Standards Association. doi:10.1109/IEEESTD.2009.4809845. ISBN 978-0-7381-5930-0. Retrieved July 6, 2011.
  • ^ Nic Mokhoff (March 20, 2009). "IEEE approves low-power design spec". EE Times. Retrieved July 7, 2011.
  • ^ "IEEE 1801-2009 ― Unified Power Format (UPF)". Synopsys. Retrieved July 7, 2011.
  • External links[edit]


    Retrieved from "https://en.wikipedia.org/w/index.php?title=Unified_Power_Format&oldid=1157250459"

    Categories: 
    Power standards
    IEEE DASC standards
    Electronics standards
    Hidden categories: 
    Articles with short description
    Short description is different from Wikidata
    All articles with unidentified words
    Articles with unidentified words from November 2021
     



    This page was last edited on 27 May 2023, at 10:49 (UTC).

    Text is available under the Creative Commons Attribution-ShareAlike License 4.0; additional terms may apply. By using this site, you agree to the Terms of Use and Privacy Policy. Wikipedia® is a registered trademark of the Wikimedia Foundation, Inc., a non-profit organization.



    Privacy policy

    About Wikipedia

    Disclaimers

    Contact Wikipedia

    Code of Conduct

    Developers

    Statistics

    Cookie statement

    Mobile view



    Wikimedia Foundation
    Powered by MediaWiki