VHDL

出典: フリー百科事典『ウィキペディア(Wikipedia)』
VHDL
パラダイム ハードウェア記述言語(HDL: Hardware Description Language)
登場時期 1981年 (1981)
最新リリース IEEE 1076-2019/ 2019年12月23日 (4年前) (2019-12-23)
型付け 静的型付け
影響を受けた言語 Ada
影響を与えた言語 Verilog-HDL
ライセンス IEEE/IEC Standard
ウェブサイト http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=4772740
テンプレートを表示

VHDL(HDL: Hardware Description Language)IEEE/IECFPGAASIC使IEEEIECIEEE 1076-2008 VHDL Language Reference Manual/IEC 61691-1-1:2011 Behavioural languages - Part 1-1: VHDL Language Reference Manual VHSIC HDLVHSICvery high speed integrated circuits()VHDL

[]


ASICVHDL

AdaAdaALGOL

便()HDLVHDL

VHDLIEEE 1076-1987bit_vectorstring()

9std_logicIEEE 1164

IEEE 1076-1993[1]IEEE 1076-2000[2]IEEE 1076-2002[3]IEEE 1076-2008[4] IEEE 1076-2019[5]IECWTO/TBTIEC

[]


VHDL-93 

Hello World[]


Hello World:
-- VHDL example programme: hello.vhd

use std.textio.all;

entity hello is
end entity hello;

architecture Wiki of hello is

    constant message : string := "hello world";

begin

    process is
        variable L: line;
    begin
        write(L, message);
        writeline(output, L);
        wait;
    end process;

end architecture Wiki;

メッセージはシミュレータのデフォルト出力ウインドウに出力される。

フィボナッチ数列[編集]

次の例はもう少し実用的なものである:

-- Fib.vhd
--
-- Fibonacci number sequence generator

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity Fibonacci is
port
(
    Reset       : in    std_logic;
    Clock       : in    std_logic;
    Number      : out   unsigned(31 downto 0)
);
end entity Fibonacci;

architecture Rcingham of Fibonacci is

    signal  Previous    : natural;
    signal  Current     : natural;
    signal  Next_Fib    : natural;

begin

    Adder:
    Next_Fib <= Current + Previous;

    Registers:
    process (Clock, Reset) is
    begin
        if Reset = '1' then
            Previous <= 1;
            Current  <= 1;
        elsif Clock'event and Clock = '1' then
            Previous <= Current;
            Current  <= Next_Fib;
        end if;
    end process Registers;

    Number <= to_unsigned(Previous, 32);

end architecture Rcingham;

シミュレーションを行うとNext_Fibがオーバーフローするまで、フィボナッチ数列を生成する。

参照[編集]

  1. ^ 1076-1993 IEEE Standard VHDL Language Reference Manual
  2. ^ 1076-2000 IEEE Standard VHDL Language Reference Manual
  3. ^ 1076-2002 IEEE Standard VHDL Language Reference Manual
  4. ^ 1076-2008 IEEE Standard VHDL Language Reference Manual
  5. ^ 1076-2019 - IEEE Standard for VHDL Language Reference Manual”. IEEE. 2023年3月23日閲覧。

外部リンク[編集]